Icarus Verilog 开源verilog仿真器简明使用教程
warmonkey2024/10/20电子技术软件综合 IP:广东
关键词
verilogfpga

iverilog体积小、速度快、安装使用简单。显示波形还需要使用GTKWave。组合起来完全可以代替FPGA厂家提供的仿真工具。

相比原厂工具巨大的体积,iverilog+gtkwave是一种轻量的快速方案,具备常见的必要功能。


安装步骤如下:

  1. 下载安装包

    Screenshot 2024-10-20 160947.jpg

    XXXXXXXXXXXXXXXXXX/icarus/iverilog-v12-20220611-x64_setup.exe

    如以上链接失效,可以自行搜索iverilog windows

    此安装包已经包含了GTKWave,安装的时候记得选上。并且要把安装目录添加到PATH环境变量(安装包自动)


  2. 准备演示代码

demo.v

`timescale 1ns/1ns
module demo();
    initial begin
        $dumpfile("demo.vcd");
        $dumpvars(0, demo);
    end
    initial begin
        for(integer i=0; i<100; i++) begin
            #1 $display(i);
        end
    end
endmodule

run_XXXXXXXt

del /s /q demo.out demo.vcd
iverilog.exe -g2012 -s demo -o demo.out demo.v
vvp.exe demo.out 
gtkwave.exe demo.vcd demo.gtkw

    3. 运行仿真

    运行run_XXXXXXXt,将变量i拖放到右边的波形窗口。可以点击File->Write Save File 保存当前标签页的波形显示设置。注意保存的只是显示的设置,配置文件是命令行指定的XXXXXXXkw。具体波形数据在XXXXXXXd文件中。

修改代码后,关掉gtkwave,重新运行bat文件,即可调取上次的波形显示设置,并显示最新的仿真结果。

Screenshot 2024-10-20 161619.jpg Screenshot 2024-10-20 161634.jpg

来自:电子信息 / 电子技术计算机科学 / 软件综合严肃内容:专业科普
0
2
已屏蔽 原因:{{ notice.reason }}已屏蔽
{{notice.noticeContent}}
~~空空如也

想参与大家的讨论?现在就 登录 或者 注册

文件下载
加载中...
{{errorInfo}}
{{downloadWarning}}
你在 {{downloadTime}} 下载过当前文件。
文件名称:{{resource.defaultFile.name}}
下载次数:{{resource.hits}}
上传用户:{{uploader.username}}
所需积分:{{costScores}},{{holdScores}}下载当前附件免费{{description}}
积分不足,去充值
文件已丢失

当前账号的附件下载数量限制如下:
时段 个数
{{f.startingTime}}点 - {{f.endTime}}点 {{f.fileCount}}
视频暂不能访问,请登录试试
仅供内部学术交流或培训使用,请先保存到本地。本内容不代表科创观点,未经原作者同意,请勿转载。
音频暂不能访问,请登录试试
支持的图片格式:jpg, jpeg, png
插入公式
评论控制
加载中...
文号:{{pid}}
投诉或举报
加载中...
{{tip}}
请选择违规类型:
{{reason.type}}

空空如也

加载中...
详情
详情
推送到专栏从专栏移除
设为匿名取消匿名
查看作者
回复
只看作者
加入收藏取消收藏
收藏
取消收藏
折叠回复
置顶取消置顶
评学术分
鼓励
设为精选取消精选
管理提醒
编辑
通过审核
评论控制
退修或删除
历史版本
违规记录
投诉或举报
加入黑名单移除黑名单
查看IP
{{format('YYYY/MM/DD HH:mm:ss', toc)}}