iverilog体积小、速度快、安装使用简单。显示波形还需要使用GTKWave。组合起来完全可以代替FPGA厂家提供的仿真工具。
相比原厂工具巨大的体积,iverilog+gtkwave是一种轻量的快速方案,具备常见的必要功能。
安装步骤如下:
下载安装包
XXXXXXXXXXXXXXXXXX/icarus/iverilog-v12-20220611-x64_setup.exe
如以上链接失效,可以自行搜索iverilog windows
此安装包已经包含了GTKWave,安装的时候记得选上。并且要把安装目录添加到PATH环境变量(安装包自动)
准备演示代码
demo.v
`timescale 1ns/1ns module demo(); initial begin $dumpfile("demo.vcd"); $dumpvars(0, demo); end initial begin for(integer i=0; i<100; i++) begin #1 $display(i); end end endmodule
run_XXXXXXXt
del /s /q demo.out demo.vcd iverilog.exe -g2012 -s demo -o demo.out demo.v vvp.exe demo.out gtkwave.exe demo.vcd demo.gtkw
3. 运行仿真
运行run_XXXXXXXt,将变量i拖放到右边的波形窗口。可以点击File->Write Save File 保存当前标签页的波形显示设置。注意保存的只是显示的设置,配置文件是命令行指定的XXXXXXXkw。具体波形数据在XXXXXXXd文件中。
修改代码后,关掉gtkwave,重新运行bat文件,即可调取上次的波形显示设置,并显示最新的仿真结果。
时段 | 个数 |
---|---|
{{f.startingTime}}点 - {{f.endTime}}点 | {{f.fileCount}} |